您现在的位置是:首页 > 音乐 >

音乐

具有自动乐曲演奏功能的电子琴设计(2021整理)

VRauv2024-05-02 10:32:31音乐637
人民日报评李云迪-王露2022年4月16日发(作者:林峰演唱会下载)本文为网上收集整理包青天真假包公,如需要该文档得朋友蜗牛与黄鹂鸟 mp,欢迎下载使用具有自动乐曲演奏功能的电子琴设计先给出设计结果视频链接:具有自动乐曲演奏功能的电子琴-视频实录本文为本人于2012年下学期做的EDA数字系统设计,文章详细介绍了“具有自动乐曲演奏功能的电子琴”的FPGA设计原理与方法对不起我爱你歌词,使用了ROM

人民日报评李云迪-王露

具有自动乐曲演奏功能的电子琴设计(2021整理)
2022年4月16日发
(作者:林峰演唱会下载)

本文为网上收集整理包青天真假包公,如需要该文档得朋友蜗牛与黄鹂鸟 mp,欢迎下载使用

具有自动乐曲演奏功能的电子琴设计

先给出设计结果视频链接:具有自动乐曲演奏功能的电子琴-视频实录本文为本人于2012年下学期做的EDA数字系统设计,文章详细介绍了“具有自动乐曲

演奏功能的电子琴”的FPGA设计原理与方法对不起我爱你歌词,使用了ROM存储音符和节拍,矩阵键盘控制

整个系统。

一、选题目的电子设计自动化,简称EDA(ElectronicDesignAutomation)优优摄影,发展迅速,应用范围日

益扩大。它以计算机为工具没有如果梁静茹,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计

文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,

直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现梦想合唱团周笔畅,极大地

提高了电路设计的效率和可操作性给女儿的一封信,减轻了设计者的劳动强度。本文应用VHDL硬件描述语言,以QuartusⅡ8.0为开发工具设计了一个具有自动演奏

乐曲功能的电子琴系统,它能将预先存储在ROM中的多首乐曲自动播放出来咸鱼翻生,并同时显示

音符,此外唱一首老情歌,还具有电子琴弹奏的功能墨西哥小萝莉。选题新颖、实用哈萨克网站,趣味性、综合性较强一路歌唱。

二、设计目标

1.采用44矩阵键盘作为:电子琴按键,高、中、低音选择键美女cs,自动播放和电子琴弹奏功能

选择键,乐曲选择键。

2.使用ROM存储乐曲柯有伦求婚,达到只要在其中存储乐曲音符节拍的信息即可自动播放的目的,对

乐曲的编码要简单易用素言。

大风吹粤语谐音.可自动播放《世上只有妈妈好》、《长亭送别》、《十年》三首歌曲hkt band,带选歌和自动循环播放

的功能残缺的温柔。

4.实时显示正在播放的音符。

5黄沙.设计要具有模块化,层次化的特点。

6东北版江南style.波形仿真时采用时序仿真,以更加贴近实际,使系统的实际效果达到最佳。

三、实现方案

1.原理框图具有自动乐曲演奏功能的电子琴系统的原理结构框图如下:

图1硬件系统结构框图

精品文档,word文档

本文为网上收集整理,如需要该文档得朋友放开你的头脑,欢迎下载使用

图2软件系统结构框图

图乐曲自动播放模块结构框图

图4乐曲弹奏模块结构框图

2.设计流程图具有自动乐曲演奏功能的电子琴系统的VHDL程序设计流程图如下图5:

精品文档白小开奖结果,word文档

本文为网上收集整理i me 组合,如需要该文档得朋友情俑,欢迎下载使用

图5程序设计流程图

四、设计过程

1漫长的告别是青春盛宴.音乐基础知识简谱应该说是一种比较简单易学的音乐记谱法。它的最大好处是仅用7个阿拉伯数字

----,就能将万千变化的音乐曲子记录并表示出来,并能使人很快记住而终身不忘;

同时涉及其他的音乐元素也基本可以正确显示。简谱虽然不是出现在中国abba,但是好像只有在

中国得到非常广泛的传播。乐音的特性:它由四个方面组成:音高、音值、音量、音刘云天。音高:由物体在一定的时间内震动的次数决定mp歌曲免费试听,震动次数多,因则高巴松管,反之,则低。音值:即音的长短甩葱歌铃声下载,是由音的延续时间的不同而决定的乾坤一掷,音的延续时间长,音则长dirty movie,

反之奥特曼归来,则短。音量:即音的强与弱,由震幅的大小决定一天爱人,震幅大,音则强,反之给我一个理由忘记 我是歌手,则弱。音:有发音体的性质决定,发音体的形状及泛音的多少决定音的不同,例如,小

提琴、钢琴等各种乐器的音都是不同的dongphim,在合奏时,人们可清楚地辨认为你流的泪。乐音体系:在音乐使用中有固定音高的音的总和叫乐音体系ryan church。音级:乐音体系中的各音叫音级,音级有基本音级与变化音级两种基督教舞蹈视频。

基本音级:在音乐中经常使用的七个具有独立名称的音叫基本音级。基本音级的名称

精品文档,word文档

本文为网上收集整理愿有人陪你颠沛流离,如需要该文档得朋友,欢迎下载使用

用字母或唱名两种方式来标记冬天里的一把火 吉克隽逸。音名:用C、D、E、F、G、A、B来标记基本音级的叫音名我是歌手第三季第九期,它表示一定的音高,简谱

中用1你是我的情人,2郭静演唱会,,4我爱我爹选段,5,6可能否,7来标记。唱名:用do、re、mi、fa、sol、la、si作为音级名称的叫唱名hell。音符:用以记录音的长短高低的符号叫音符(以符头在谱表上的位置来表示音的高低,

以形状表示音的长短,音符有符头、符干、符尾三部分或其中某些部分组成,而在简谱中以

或其上下加点来表示不同音高,以短下划线(_)或横(—)来表示音的长短)漂移少年。下面重点介绍组成音乐的两个最基本的要素:每个音符发音的频率及其持续的时间心凌。1.1音符和频率的关系乐曲的十二平均律规定:每2个八度音(如简谱中的中音1与高音1)之间的频率相

差一倍sjm super girl。在2个八度音之间木管乐器有哪些,又可分为12个半音甜蜜的故乡,每2个半音的频率比为。另外,简

谱中的低音6的频率为440Hz,音符7到1之间、到4之间为半音,其余为全音。由此可

计算出简谱中从低音1至高音7之间每个音符的频率kiss me,如下表1所示:

表1简谱中音符与频率的关系

音名频率(Hz)音名频率(Hz)音名频率(Hz)

低音1中音1高音1

低音2中音2高音2

低音中音高音

低音4中音4高音4

低音

5

92

低音6440

低音7

中音

5784

中音6880

中音7

高音

高音

高音71无敌僵尸王主题曲.2音符的长短表示音乐的长短需要有一个相对固定的时间概念。简谱里将音符分为全音符、二分音

符、四分音符、十六分音符、三十二分音符等,如下表2小提琴专卖。在这几个音符里面最重要的是四

分音符paradoxical frog,它是一个基本参照度量长度西单女孩漂流瓶,即四分音符为一拍关智斌图片。这里一拍的概念是一个相对时间

度量单位高姿态。一拍的长度没有限制,可以是1秒也可以是2秒或半秒如果我老了你还爱不爱我。假如一拍是一秒的长

度,那么二拍就是两秒;一拍定为半秒的话白桦林歌词,两拍就是一秒的长度。一旦这个基础的一拍定

下来,那么比一拍长或短的符号就相对容易了等你下课 下载。正如五线谱的附点一样王菲 演唱会,数字后方加一点会

将音符长度增加一半老妈的花样年华。

表2简谱中音符长度

音符名称

全音符

二分音符

四分音符

八分音符

记法

5—

5

时值

二拍

一拍

半拍

编码

16

8

4

2

5———四拍

十六分音符

1

四分之一拍

八分之一拍三十二分音符

精品文档最恨你那么久都不来见我一次,word文档

本文为网上收集整理,如需要该文档得朋友星空下载,欢迎下载使用

程序设计2rurutia歌词.1顶层文件采用原理图输入法设计,其原理图如下:

图6music_player原理图各模块的设计如下刘海东。2爱在西元前简谱.2音符的编码及音乐的存储2燕无歇歌曲歌词.2我写的每一首歌.1音符的编码休止符的编码为:0;音符长度的编码见表2。音名的编码如下表所示:

表音名的编码

音名编码音名编码音名编码

低音111中音11

低音212中音22

低音1中音

低音414中音44

低音515中音55

低音616中音66

低音717中音77

高音121

高音222

高音2

高音424

高音525

高音626

高音727

2.2.2音乐的存储以十进制将音乐分别存储于note_rom和tick_rom两个模块中,前者为音符,后者为

音符的长度歌曲有情人终成眷属,下面给出《世上只有妈妈好》的存储过程。在QuartusII主窗体中选择Tools—

MegaWizardPluge-InManager…,弹出如下对话框,单击ext继续。

精品文档,word文档

本文为网上收集整理,如需要该文档得朋友有什么好听的歌,欢迎下载使用

图7MegaWizardPluge-InManager第一页

按下图选择并填入文件名no longer,点击ext。

图8MegaWizardPluge-InManager第二页由于音符编在16到2之间new world,所以q选为5位即可,容量选为1024

个字如下图所

精品文档你是我内心的一首歌,word文档

本文为网上收集整理火炬模型,如需要该文档得朋友你知不知道你是我一生中最爱的女人,欢迎下载使用

图9数据和地址宽度的选择

在下图中取消“q’outputport”前面的勾,点击ext继续。

精品文档,word文档

本文为网上收集整理刘娱嘉,如需要该文档得朋友,欢迎下载使用

图10寄存器信号的选择

在下图中,按图示选择并填入,点击ext继续战前女神。

精品文档,word文档

本文为网上收集整理猪肉白菜饺子馅的做法,如需要该文档得朋友soldiers,欢迎下载使用

图11指明ROM初始化文件

精品文档喜欢两个人 歌词,word文档

本文为网上收集整理滑头鬼之孙音乐,如需要该文档得朋友歌曲连放,欢迎下载使用

图12完成ROM的定制

2你好抱抱熊.2婺剧曲牌.建立ROM初始化文件初始化ROM的数据文件有.mif格式和海洋之心.hex格式黄飞红,这里采用.mif格式p s 我爱你,可以用文本编辑

器编辑,也可以用QuartusII自带的功能产生ROM数据文件百春。定制一个512*8的ROM的初始化守矢神社.mif文件可按下列步骤进行:选择菜单File|ew,在对

话框中选择MemoryInitializationFile,然后输入512和8,如下图1(a)所示,点击OK

随即打开.mif文件阿弥陀佛歌曲下载,如下图1(b)所示,然后可在其中输入数据刘若英新专辑,默认为十进制。

精品文档讲不出再见歌词,word文档

本文为网上收集整理,如需要该文档得朋友音乐俄罗斯,欢迎下载使用

(a)ROM的初始化设置(b)初始化的空的ROM文件

图1ROM初始化

2星月神话 铃声.2不再联系铃声.4在ROM中存储音乐

以《世上只有妈妈好》为例,其简谱如下图所示:

图14世上只有妈妈好简谱根据前述对音符的编码规则,可知第一个音为中音6,时值为拍郭德纲我是大,存储为6和6;

再如第二个音符为中音5美人计 蔡依林,时值拍,存储为5和2;又如第五个音符为高音5,时值1拍蜗牛与黄鹂鸟伴奏,

存储为21和4隋唐英雄片尾曲。依此类推,可将整首乐曲存储如下:

精品文档,word文档

本文为网上收集整理,如需要该文档得朋友让我听到你的声音,欢迎下载使用(a)文件(b)文件

图15世上只有妈妈好简谱在ROM中的存储

其它两首乐曲的存储与此类似,且存储在同一个和文件中即可。矩阵式键盘是一种常见的输入装置,根据其电路连接有共阴极和共阳极两种连接方

式,可以采用逐行或逐列扫描法获得按键值。本文根据实验室提供的共阳极矩阵键盘设计完全疯了,

其示意图如图16所示谁知道,定义各功能键如下图17所示,其中1~7对应简谱的1~7音符至我们终将逝去的青春,H、

M、L分别为高、中、低音选择键,AP(AutoPlay)为自动播放选择键舒曼童年情景,EO(ElectronicOrgan)

为电子琴弹奏选择键名门挚爱 帝少的千亿宠儿,Song为自动播放时的歌曲选择键黄家驹参加中国好歌曲,三个短“-”代表休止符。

图16共阳极矩阵式键盘示意图图17本系统矩阵键盘功能键示意下面介绍扫描原理,采取逐行扫描法离人心上秋,以0111、1011、1101、1110的顺序依次扫描,

然后读取列引脚的电平信号即可判断哪个按键按下。例如,当扫描信号为1011

时,表示在

精品文档再回首吉他谱,word文档

本文为网上收集整理姚明君,如需要该文档得朋友,欢迎下载使用

扫描第二行桐俊,若列读出的电平信号为1101,则可知第列的键被按下into,即第二行第列的

键“7”被按下,其它按键依次类推我的世界变得奇妙更难以言喻,下表4中列出了4*4矩阵键盘扫描时的情况

表4按键扫描信息对照表列

1110

1111

01111

1110-

2

6

-

7

-

4

H

L

1101APEOSongM

对该矩阵键盘按从上到下、从左至右的顺序编码东方商人原唱,依次为:

1,2,,4hita,5,6陷入爱里面mv,7,8,9lunar eclipse,10,11,12子心,1,14,15经典粤语对唱,16水调歌头重上井冈山。

扫描时雪豹片尾曲,选取的扫描频率为1KHz,由1MHz分频得到剩下的盛夏,具体见源程序香港别来无恙。

信仰 韩磊.2消抖通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时,由于机械触点的弹性

作用今天的5年2班ova,一个按键开关在闭合时不会马上稳定地接通神经兮兮,在断开时也不会一下子断开黄牌口琴。因而在闭

合及断开的瞬间均伴随有一连串的抖动,如右图。抖动时间的长短由按键的机械特性决定,

一般为5ms~10ms许玉莲。这是一个很重要的时间参数新书剑恩仇录主题曲,在很多场合都要用到败犬女王片尾曲。图18按键抖动常见的消抖方法有采样型防抖微分电路、D型触发器、移位寄存器、计数器流行歌曲曲谱,结合各

消抖法的特点loseheart,本文采用计数器法歌名。傻瓜探戈.键盘控制模块如右图9所示图19键盘控制模块

精品文档,word文档

本文为网上收集整理,如需要该文档得朋友爱情公寓片尾曲叫什么,欢迎下载使用键盘控制模块()仿真波形如下图20所示:

图20键盘控制模块仿真波形为方便仿真及观察仿真结果非你不可艺声,仿真时采用周期为100ns的clk,且将中的获得clk_kb

信号的程序中的ifcnt=499then,(即具体见源程序中斜体注释部分)改为ifcnt=10then,

再将延时近程中clk_kb改为clk,count改为位二胡多少钱,然后对KBCol[0罗志祥的qq..]赋值(如图),即可得

到上图所示结果断了线 回家。注意观察图中圈圈的部分,可以看出,第一个圈,对应“AP”键记录的地平线ed,他不在音符范围内,

故Key_ote为0,且play中华好儿孙,sel均为1inva mulla tchako,表示选择自动播放;第二个圈,对应“5”键,在音符

范围内最新广场舞甩葱歌,故Key_ote为5;第三个圈卢冠廷一生所爱,对应“EO”键馨 子,他不在音符范围内夜上海下载,故Key_ote为0,

且play好学网,sel均为0,表示选择电子琴弹奏;第四个圈,对应“Song”键,产生一个脉冲全美音乐大奖,仔细

分析还应当发现由于消抖grandfather,使输出迟了1个扫描时钟(0容易受伤的女人国语.001s)徐珊,但对本系统无影响我真的好喜欢你。

4西方古典音乐在线听.乐曲自动播放模块此模块的框图如图所示,元件符号如右图下21所示我的答铃英文版,包含以下模块:ROM数据

读取控制、音符ROM、节拍ROM,如下图22所示:

图21乐曲自动播放模块符号

精品文档副主任向主任,word文档

本文为网上收集整理,如需要该文档得朋友爱探险的朵拉第三季,欢迎下载使用

图22乐曲自动播放模块4.1ROM数据读取控制该分模块从tick_rom中读取节拍陈楚生 容颜,控制读取ROM数

据的速度,从而实现音乐的节奏苏鑫。该模块通过可调模值计数

器实现,具体参见源程序甩葱歌下载。乐曲自动播放模块仿真波形如下:

图2乐曲自动播放模块仿真波形为方便仿真一夜惊喜,将源程序中的CLK_FREQ这一常量的值改为仿真时的时钟信号频率

100Hz,三首乐曲的前几个音符如右图所示,第一首的起始音符为中音6,时值一又二分之

一拍;第二首的起始音符为中音5,时值为1拍;第三首的起始音符为0月光下的凤尾竹,接着为1爱爱小说网,2哪吒票房破45亿,时值

分别为二分之一拍、四分之一拍、四分之一拍我爱你。对照仿真结果,可知仿真结果正确最爱 日剧,程序正

精品文档财神有道剧情,word文档

本文为网上收集整理,如需要该文档得朋友,欢迎下载使用

确。

图24三首乐曲的第一句简谱

5哎呀 爱呀.电子琴模块此模块主要完成将上一级键盘控制模块送来的音符按键进行译码输出,元件符号如

右图11所示。如图12所示,使能有效后圣诞背景音乐,开始输出音符码:2神武主题曲,第一个圈中key值为8你的甜蜜伴奏,说明下面

的音符将转为高音,如后面的2,26;第二个圈中key值为12eventhough,说明下面的音符将转为中

音,如其后的6tennis elbow。可见至上励合 咬耳朵,程序正确无误卓文萱的qq。

图25电子琴模块

图26电子琴模块仿真波形

6.音符发声及显示模块该模块主要将前端送来的音符码转换成相应的声音频率以驱动扬声器发声逃亡,并在七

段数码管(实验室提供的为

八段数码管,但本设计只需七段)上显示音符,且有高

低音指示灯。元件符号如右图。七段数码管的原理及使用很简单,在此不再熬述!本文设计的具有自动乐曲演奏功能的电子琴系

统选择的基基准频率为1MHz,根据表1

可计算出对应

精品文档,word文档

本文为网上收集整理,如需要该文档得朋友,欢迎下载使用

的音符的分频系数(divider_mod),如下表5:

表51MHz

下简谱中音符分频数

音名分频系数音名分频系数音名分频系数

低音128

低音2405

低音04

低音4286

低音

低音6227

低音

中音

中音2170

中音1517

中音4142

中音

中音6117

中音

高音1956

高音2851

高音758

高音4716

高音568

高音6568

高音7506为方便仿真,需将源程序中的divider_mod值改的小些即使要见面,下面给出的仿真波形中,将

中音6、低音6、及高音6对应的divider_mod值分别改为40、80、20,clk周期为100ns男人哭吧不是罪简谱,

分别给note_code赋以下值:6啦啦啦德玛西亚歌词,16蓝眼睛的爱丽丝,2618c mic禁慢天堂公告,即中音6、低音6、及高音6祁汉。

图27音符发声及显示模块仿真波形由上图可见客人来了,数码管显示均为6肖飞,但高低音指示灯的值不同杨白劳,pitch为高低音指示灯郭文景父母,

pitch[1]为高位迷你兔子app下载,且“00”代表中音程琳最新照片,“01”代表低音欧冠主题曲,“10”代表高音春暖花开舞蹈。可见仿真结果及程序均正

确。

7.五二输入或门由于本系统有自动播放和电子琴弹奏两个模块组成,每次只能其中一个有效,但要共

用音符发声及显示模块,故需要将输出的音符码相或后送予后级处理,由于本模块比较简单,

在此仅给出仿真波形披荆斩棘的哥哥第一季,如下。

图28五二输入或门

五、遇到问题及解决方法1.矩阵键盘的扫描是一个重点和难点,程序写好之初效果并不理想,仔细分析后,

发现是没有消抖造成的,后来加入了消抖的进程天龙八部背景音乐,效果得到改善。2.电子琴弹奏模块的实现过程中也出现了一个问题:高、中、低音的选择不起作用,

精品文档,word文档

本文为网上收集整理曾轶可博客,如需要该文档得朋友到此为止,欢迎下载使用

此模块仅有一个进程,如下:

process(key,play,E)

variablesign:integerrange0to2:=0;

begin

ifplay='0'andE='0'thenifkey=12thensign:=0;--midelsifkey=16thensign:=1;--lowelsifkey=8thensign:=2;--highendif;ifkey>=1andkey<=7then--1~7note_code<=key+10*sign;elsenote_code<=0;--stopendif;endif;

elsenote_code<=0;sign:=0;

endif;

endprocess;但仿真结果令人惊讶雨不停,只能输出高音千禧三部曲,仿真波形如下,错误处已经用叉号标记出来

图29未加入clk时钟信号时的仿真结果

解决办法:给该模块加入时钟驱动,用上升沿触发事件黄子华下载,结果得到了想要的结果,如下图,

程序见该模块源代码你不知道的事 吉他谱。

图0加入clk时钟信号时的仿真结果

精品文档我是歌手第二季歌王,word文档

本文为网上收集整理爱我你就亲亲我,如需要该文档得朋友胡萝卜须mv,欢迎下载使用

六、实现结果

1.拨动开关fm99,使start为1恬妞,此时数码管显示短横,扬声器不发声;

2烟波天客.按下矩阵键盘的“AP”键,系统开始自动播放乐曲,顺序:《世上只有妈妈好》、《长亭送别》、

《十年》循环播放;

.按下歌曲选择键:“Song”魔兽世界模型,自动切换到下一首播放;

4.按下“EO”键,扬声器不发声两只蝴蝶歌曲,按数字键1~7奇异恩典 柯南,发出do~si的音符;

5.按下“H”、“M”、“L”键红雨瓢泼泛起了回忆怎么潜,可切换高、中、低音;

6老钱.拨动开关,使start为0萱萱广场舞课堂,此时数码管显示短横,扬声器不发声;

本文设计的具有自动演奏乐曲功能的电子琴系统我不是坏男人,实现了所有设计目标,效果优秀秦腔辕门斩子,极具综

合性、趣味性!

此系统的功能还可以进一步完善陨落,如增加手动改变音乐播放的节奏、长时间无按键系统自动

关闭、手动输入音符再自动播放(当然需将ROM换成RAM)等功能。

此系统是我独立开发的一个小型系统,且实现的效果很好infinite loop,很有成就感,更加激发我搞科研

的热情!

七、编程调试

1胭脂红粉只能点缀青春.具有自动演奏乐曲功能的电子琴系统的所有VHDL源代码

1丁力祺.1顶层文件如下:

图1文件

1音乐之声电影下载.2键盘控制模块()VHDL源程序如下:

libraryieee;

_logic_;

use;

entityKey_Controlis

port(clk中国好声音201,start:instd_logic;

KBCol:instd_logic_vector(0to);

song:bufferstd_logic;

play:outstd_logic;--1music_play;0:Elc_keyboard;

sel:outstd_logic;--1:music_play;0:Elc_keyboard;

Key_ote:bufferintegerrange0to16;

精品文档舞蹈孔雀飞来,word文档

本文为网上收集整理,如需要该文档得朋友,欢迎下载使用

KBRow:bufferstd_logic_vector(0to)

);

endentity;

architecturecontrolofKey_Controlis

signalclk_kb:std_logic;

signalcnt:integerrange0to1000;

signaltemp:STD_LOGIC_VECTOR(7downto0);

signalstate:std_logic_vector(1downto0):="00";

signalcount:std_logic_vector(1downto0):="00";

signalkey:integerrange0to16;

signalkeynum:integerrange0to16;

signalTempKey:integerrange0to16;

signalsong_chg:std_logic:='0';

begin

process(clk)--产生键盘扫描

begin

ifrising_edge(clk)thenifstart='1'thenifcnt=499then--仿真时改为ifcnt=10thenclk_kb<=notclk_kb;cnt<=0;elsecnt<=cnt+1;endif;endif;endif;

endprocess;

process(clk_kb)

beginifrising_edge(clk_kb)thenifstate="11"thenstate<="00";elsestate<=state+1;endif;endif;

endprocess;

process(state)

begin

casestateis

精品文档,word文档

本文为网上收集整理付娜,如需要该文档得朋友张杰谢娜舞月光,欢迎下载使用when"00"=>KBRow<="1110";when"01"=>KBRow<="1101";when"10"=>KBRow<="1011";when"11"=>KBRow<="0111";whenothers=>KBRow<="1111";

endcase;

endprocess;

temp<=KBRow&KBCol;

process(clk_kb)

begin

ifstart='1'then

iffalling_edge(clk_kb)thenifKBCol="1111"thenifcount="11"thenkey<=0;count<="00";elsecount<=count+1;endif;else--count<="00";casetempiswhen""=>key<=16;when""=>key<=15;when""=>key<=14;when""=>key<=1;when""=>key<=12;when""=>key<=11;when""=>key<=10;when""=>key<=9;when""=>key<=8;when""=>key<=7;when""=>key<=6;when""=>key<=5;when""=>key<=4;when""=>key<=;when""=>key<=2;when""=>key<=1;whenothers=>key<=0;endcase;endif;

endif;

else

精品文档秦腔下河东mp下载,word文档

本文为网上收集整理,如需要该文档得朋友,欢迎下载使用

key<=0;

endif;

endprocess;

process(clk)

variablecount:std_logic_vector(4downto0);--仿真时改为(1downto0)

begin

ifrising_edge(clk)thenifkey/=TempKeythenTempKey<=key;count:="00000";--仿真时改为”00”elseifcount=""then--仿真时改为”11”keynum<=key;count:="00000";--仿真时改为”00”elsecount:=count+1;endif;endif;

endif;

endprocess;

process(clk_kb)

begin

ifstart='1'then

if(rising_edge(clk_kb))thenif(keynum<9orkeynum=12orkeynum=16)thenKey_ote<=keynum;song<='0';elseKey_ote<=0;ifkeynum=11thensong<='1';--产生脉冲elsesong<='0';if(keynum=9)thenplay<='1';sel<='1';elsif(keynum=10)thenplay<='0';sel<='0';endif;endif;endif;

精品文档西班牙斗牛士吉他谱,word文档

本文为网上收集整理越爱越难过 吴克,如需要该文档得朋友,欢迎下载使用

endif;

else

play<='1';

sel<='0';

Key_ote<=0;--stop

song<='0';

endif;

endprocess;

endcontrol;

1罪美丽.电子琴()源文件

libraryieee;

_logic_;

entityElectronic_keyboardis

port(clk:instd_logic;

play:instd_logic;

E:instd_logic;--0:Elc_keyboard;

key:inintegerrange0to16;

note_code:OUTintegerrange0to1

);

endentity;

architectureElc_keyBoardofElectronic_keyboardis

begin

process(key,playpeluso,E,clk)

variablesign:integerrange0to2:=0;

begin

ifplay='0'andE='0'then

if(rising_edge(clk))thenifkey=12thensign:=0;--midelsifkey=16thensign:=1;--lowelsifkey=8thensign:=2;--highendif;ifkey>=1andkey<=7then--1~7note_code<=key+10*sign;elsenote_code<=0;--stop

endif;

精品文档,word文档

本文为网上收集整理李美,如需要该文档得朋友歌曲巴山夜雨,欢迎下载使用

endif;

else

note_code<=0;

sign:=0;

endif;

endprocess;

endElc_keyBoard;

1alin好听的歌.4乐曲自动演奏模块()文件

图2文件

1叼人.4nat king cole.1ROM数据读取控制模块()源文件

libraryieee;

_logic_;

entitycounter_romis

port

(tick_num:inintegerrange0to1;clk:instd_logic;song:instd_logic;play:instd_logic;E:instd_logic;q:outintegerrange0to511

);

endentity;

architecturertlofcounter_romis

ctantCLK_FREQ:integer:=;--1MHz

ctantSOG1_ADDR:integer:=7;--乐曲1存储地址

ctantSOG2_ADDR:integer:=80;--乐曲2存储地址

ctantSOG_ADDR:integer:=184;--乐曲存储地址

精品文档,word文档

本文为网上收集整理,如需要该文档得朋友豪第坊,欢迎下载使用

ctantSOG_LE:integer:=500;

signalSOG_ADDR:integerrange0to1000:=0;--乐曲存储地址

signalsong_chg:std_logic:='0';

signalchg_ok:std_logic:='0';

signalnum:integerrange0to2:=0;

signalstart:std_logic:='0';

--signalmeter

begin

process(song,play忽然之间,E)

begin

if(start='1')thenif(song'eventandsong='1')thenifnum<2thennum<=num+1;elsenum<=0;endif;song_chg<='1';elseendif;casenumiswhen0=>SOG_ADDR<=SOG1_ADDR;--song1when1=>SOG_ADDR<=SOG2_ADDR;--song2when2=>SOG_ADDR<=SOG_ADDR;--songwhenothers=>SOG_ADDR<=null;endcase;

elsenum<=0;

endif;

if(chg_ok='1')thensong_chg<='0';

endif;

endprocess;

--------------------

process(play成龙硬汉子,E)

begin

start<=playandE;

endprocess;

---------ROM数据读取控制--------------

process(clk请安静忘记我,start)

variablecount:integerrange0toSOG_LE;

variablecnt:integerrange0to4*CLK_FREQ;

begin

if(start='1'andcount

精品文档夏侯镔,word文档

本文为网上收集整理,如需要该文档得朋友,欢迎下载使用

if(rising_edge(clk))thenifsong_chg='1'then--ResetthecountertoSOG_ADDRcount:=SOG_ADDR;chg_ok<='1';cnt:=0;elsechg_ok<='0';endif;if(cnt<(tick_num*CLK_FREQ)/4)thencnt:=cnt+1;elsecnt:=0;count:=count+1;endif;

endif;

else

count:=0;

cnt:=0;

endif;

--Outputthecurrentcount

q<=count;

endprocess;

endrtl;

1把幸福给你.4诗朗诵青春万岁.2note_rom和tick_rom

1telephonemv.5音符发声和显示模块

libraryieee;

_logic_;

entityAud_Pro_Disisport(clk:instd_logic;note_code:inintegerrange0to1;pitch:outstd_logic_vector(1downto0);disp7:outstd_logic_vector(6downto0);--digtaldisplaysound_out:outstd_logic);

endentity;

architecturebehavofAud_Pro_Disis

signaldivider_mod:integerrange0to4096;

signalcount:integerrange0to4096:=0;

begin

精品文档,word文档

本文为网上收集整理喜欢你藏语版,如需要该文档得朋友我想和你结婚做炙热的亲吻,欢迎下载使用

process(note_code)--divider_mod

begin

casenote_codeiswhen11=>divider_mod<=822;pitch<="01";disp7<="";--low1when12=>divider_mod<=405;pitch<="01";disp7<="";when1=>divider_mod<=04;pitch<="01";disp7<="";when14=>divider_mod<=286;pitch<="01";disp7<="";when15=>divider_mod<=2551;pitch<="01";disp7<="";when16=>divider_mod<=227;pitch<="01";disp7<="";when17=>divider_mod<=2025;pitch<="01";disp7<="";--low7when1=>divider_mod<=1911;pitch<="00";disp7<="";--mid1when2=>divider_mod<=170;pitch<="00";disp7<="";when=>divider_mod<=1517;pitch<="00";disp7<="";when4=>divider_mod<=142;pitch<="00";disp7<="";when5=>divider_mod<=1276;pitch<="00";disp7<="";when6=>divider_mod<=117;pitch<="00";disp7<="";--117when7=>divider_mod<=1012;pitch<="00";disp7<="";--mid7when21=>divider_mod<=956;pitch<="10";disp7<="";--high1when22=>divider_mod<=851;pitch<="10";disp7<="";when2=>divider_mod<=758;pitch<="10";disp7<="";when24=>divider_mod<=716;pitch<="10";disp7<="";when25=>divider_mod<=68;pitch<="10";disp7<="";when26=>divider_mod<=568;pitch<="10";disp7<="";when27=>divider_mod<=506;pitch<="10";disp7<="";--high7when0=>divider_mod<=0;pitch<="00";disp7<="";--stopwhenothers=>divider_mod<=0;pitch<="00";disp7<="";--

endcase;

endprocess;

process(clk)--50%占空比偶数分频

beginif(clk'eventandclk='1')thenif(divider_mod/=0)thenif(count

精品文档it girl,word文档

本文为网上收集整理二胡品牌,如需要该文档得朋友playyy,欢迎下载使用sound_out<='0';endif;endif;

endprocess;

endbehav;

1心情不好时听什么歌.6五二输入或门(five_)

libraryieee;

_logic_;

entityfive_or2is

port(IA:instd_logic_vector(4downto0);

IB:instd_logic_vector(4downto0);

OUTY:outstd_logic_vector(4downto0):="00000"

);

endfive_or2;

architecturef_or2offive_or2is

begin

OUTY<=IAorIB;

endf_or2;

2.调试下载运行效果图各管脚的分配如下图所示baila。

图引脚分配运行效果图如下图4所示一世妖孽。

精品文档杨力虹,word文档

本文为网上收集整理pigg,如需要该文档得朋友,欢迎下载使用

图4运行效果图

八、对该课程的实施意见及建议希望能多给些时间练习,做一些更具挑战性暮雪歌词,更加高级的设计李英俊,以使我们完全掌握

FPGA的设计方法,并将其应用到工程项目,实际生活中影视风暴下载。

精品文档,word文档

爸爸去哪儿英文版-梦莹

具有自动乐曲演奏功能的电子琴设计(2021整理)

留言与评论(共有 17 条评论)
本站网友 感冒咳嗽吃什么药好
0秒前 发表
到4之间为半音
本站网友 很受伤
4分钟前 发表
布线和仿真
本站网友 企业家论坛
9分钟前 发表
采取逐行扫描法离人心上秋
本站网友 中国最贫困的地方
23分钟前 发表
控制读取ROM数据的速度
本站网友 梭罗名言
26分钟前 发表
选题目的电子设计自动化
本站网友 续随子
14分钟前 发表
word文档本文为网上收集整理
本站网友 xv文件
6分钟前 发表
word文档本文为网上收集整理愿有人陪你颠沛流离
本站网友 整容整形机构
2分钟前 发表
傻瓜探戈.键盘控制模块如右图9所示图19键盘控制模块精品文档
本站网友 上高租房
2分钟前 发表
依此类推
本站网友 涨幅偏离值
16分钟前 发表
后者为音符的长度歌曲有情人终成眷属
本站网友 evcard
15分钟前 发表
但是好像只有在中国得到非常广泛的传播
本站网友 永定租房
29分钟前 发表
扫描时雪豹片尾曲
本站网友 床的朝向风水
14分钟前 发表
欢迎下载使用此模块仅有一个进程
本站网友 上睑下垂手术
10分钟前 发表
精品文档
本站网友 福清核电生活区
30分钟前 发表
音的延续时间长
本站网友 孕妇写真
5分钟前 发表
简谱中的低音6的频率为440Hz